Module Fsm

module Fsm: sig .. end
Finite State Machines.

A FSM is a LTS



module type CONDITION = sig .. end
module type ACTION = sig .. end
module Condition: CONDITION 
module Action: ACTION 
module type TRANSITION = sig .. end
module Transition: TRANSITION 
module type T = sig .. end
module Make (S : Ltsa.STATE) : T  with type state = S.t